TY - JOUR ID - TI - Proposal New Cache Coherence Protocol to Optimize CPU Time through Simulation Caches AU - Luma Fayeq Jalil AU - Maha Abdulkareem .H. Al-Rawi AU - Abeer Diaa Al-Nakshabandi PY - 2016 VL - 34 IS - 6 Part (B) Scientific SP - 912 EP - 924 JO - Engineering and Technology Journal مجلة الهندسة والتكنولوجيا SN - 16816900 24120758 AB - The cache coherence is the most important issue that rapidly affected the performance of a multicore processor as a result of increasing the number of cores on chip multiprocessors and the shared memory program that will be run on these processors. "Snoopy protocols" and "directory based protocols" are two types of protocols that are used to achieve coherence between caches. The main objective of these Protocols is to achieve consistency and validation of the data value in the caches of a multi core processor so that any reading of a memory address via any caches will returns the latest data written to that address.In this paper, a new protocol has been designed to solve a problem of a cache coherence that combines the two schemes of coherency: snooping and directory depending on the states of MESI protocol. The MESI protocol is a version of the snooping cache protocol which based on four (Modified, Exclusive, Shared, Invalid) states that a block in the cache memory can have. The proposed protocol has the same states of MESI protocol but the difference is in laying the directory inside a shared cache instead of main memory to make the processor more efficient by reducing the gap between fast CPU and slow main memory.

ER -